paper

BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space Exploration Framework

Publication Date:
Publication Date
4 November 2021

paper Menu

Abstract

The microarchitecture design of a processor has been increasingly difficult due to the large design space and time-consuming verification flow. Previously, researchers rely on prior knowledge and cycle-accurate simulators to analyze the performance of different microarchitecture designs but lack sufficient discussions on methodologies to strike a good balance between power and performance. This work proposes an automatic framework to explore microarchitecture designs of the RISC-V Berkeley Out-of-Order Machine (BOOM), termed as BOOM-Explorer, achieving a good trade-off on power and performance. Firstly, the framework utilizes an advanced microarchitecture-aware active learning (MicroAL) algorithm to generate a diverse and representative initial design set. Secondly, a Gaussian process model with deep kernel learning functions (DKL-GP) is built to characterize the design space. Thirdly, correlated multi-objective Bayesian optimization is leveraged to explore Pareto-optimal designs. Experimental results show that BOOM-Explorer can search for designs that dominate previous arts and designs developed by senior engineers in terms of power and performance within a much shorter time.

Country
HKG
Affiliation
The Chinese University of Hong Kong
Affiliation
Hong Kong University of Science and Technology
Email
Affiliation
The Chinese University of Hong Kong
IEEE Region
Region 10 (Asia and Pacific)
Email